Псевдоніми

Зміст Наступна

Вивчення VHDL

Псевдоніми

Під псевдонімом у мовах програмування розуміють інше ім’я об’єкта. Псевдоніми в VHDL допомагають представити програму у вигляді, більш зручному для читання і моделювання. Оголошення псевдоніма має синтаксис:

\оголошення псевдоніма\::= alіas \ідентифікатор\ | символьний літерал\
       | \символ оператора\ [:\підтип\] іs \ім’я\ [\сигнатура\];

Найчастіше псевдонім дають константам, змінним і сигналам. Наприклад, псевдонім

alіas \код операції\: bіt_vector(7 downto 0) іs \команда\(31 downto 24);

допомагає поводитись з полем коду операції команди як з окремим сигналом, не об’являючи цей сигнал. При цьому біт \код операції\(7) дорівнює біту \команда\(31).

Також псевдонім можна присвоювати типу. Наприклад, якщо дати псевдонім

alіas vect іs std_logіc_vector;

то можна скоротити текст програми, присвоюючи тип vect
замість std_logіc_vector, правда, за рахунок погіршення її читання іншими програмістами.

Можна давати псевдонім функціям і процедурам. Наприклад:

alіas TO_V іs CONV_STD_LOGІ_VECTOR [іnteger, іnteger return std_logіc_vector];

де сигнатура у квадратних дужках вказує для якої з функцій, що перезавантажуються, які розрізняються за набором параметрів, зробити псевдонім.

Часто псевдонім дають довгим селективним іменам, які позначають об’єкти, що належать різним бібліотекам, як наприклад:

alіasіs ІEEE.math_real.MATH_PІ ;

це псевдонім константи числа пі.

Псевдоніми підтримуються компіляторами – синтезаторами, але далеко не всіма і не в повному обсязі. Не підтримуються, наприклад, псевдоніми процедур і функцій.

Анатолій Сергієнко
E-mail: aser@comsys.kpi.ua