Последовальный оператор if

Cодержание Следующая

Изучение VHDL

Последовательные операторы. Оператор if.

Последовательные операторы в VHDL вставляются в операторы процессов и исполняются последовательно в виртуальных процессорных элементах программистской модели, как операторы обычных алгоритмических языков. Далее рассматриваются все последовательные операторы, кроме операторов присваивания, assert и report.

Оператор if.

Этот условный оператор в зависимости от заданных условий выполняет цепочки последовательных операторов, причем от условия зависит, которая из цепочек операторов выполняется. Упрощенный синтаксис оператора:

\оператор if\::=if \условие 1\ then
       {\последовательный оператор 1\}
       [ { elsif \условие 2\ then
       {\последовательный оператор 2\}]
       [else
       {\последовательный оператор 3\}]
end if;

Каждое из условий должно быть выражением, вычисляющим результат булевского типа. При выполнении этого оператора условия проверяются последовательно друг за другом пока результат условия не будет true. Тогда выполняется соответствующая этому условию цепочка операторов и выполнение данного оператора if прекращается.

Анатолий Сергиенко
E-mail: aser@comsys.kpi.ua