Псевдонимы

Cодержание Следующая

Изучение VHDL

Псевдонимы.

Под псевдонимом в языках программирования понимают другое имя объекта. Псевдонимы в VHDL помогают представить программу в виде, более удобном для чтения и моделирования. Объявление псевдонима имеет синтаксис:

\объявление псевдонима\::= alias \идентификатор\ | символьный литерал\
       | \символ оператора\ [:\подтип\] is \имя\ [\сигнатура\];

Наиболее часто псевдоним дают константам, переменным и сигналам. Например, псевдоним

alias \код операции\: bit_vector(7 downto 0) is \команда\(31 downto 24);

помогает обращаться с полем кода операции команды как с отдельным сигналом, не объявляя этот сигнал. При этом бит \код операции\(7) равен биту \команда\(31).

Также псевдоним можно присваивать типу. Например, если дать псевдоним

alias vect is std_logic_vector;

то можно сократить текст программы, присваивая тип vect вместо std_logic_vector,правда, за счет ухудшения ее чтения другими программистами.

Можно давать псевдоним функциям и процедурам. Например:

alias TO_V is CONV_STD_LOGIC_VECTOR [integer, integer return std_logic_vector];

где сигнатура в квадратных скобках указывает для какой из перезагружаемых функций, различаемых по набору параметров, сделать псевдоним.

Часто псевдоним дают длинным селективным именам, которые обозначают объекты, принадлежащие различным библиотекам, как например:

alias PI is IEEE.math_real.MATH_PI ;

это псевдоним константы числа пи.

Псевдонимы поддерживаются компиляторами — синтезаторами, но далеко не всеми и не в полном объеме. Не поддерживаются, например, псевдонимы процедур и функций.

Анатолий Сергиенко
E-mail: aser@comsys.kpi.ua